'Ver 1.0/임베디드'에 해당되는 글 36건
- 2006.12.05 손금락의 멘토 이야기
- 2006.12.05 AVR기초 자료
- 2006.12.02 유비 쿼터스 세상 시나리오
- 2006.12.02 Reverser's Page
- 2006.11.29 회로도 가장일반적으로 공부하는것
- 2006.11.28 ZETIN 신입생 교육자료(전자/회로기초)
- 2006.11.28 8051의 소개와 내부 구조(레지스터와 메모리)
- 2006.11.28 sigma 마이크로프로세서
- 2006.11.27 MCU의 기초지식 1
- 2006.11.16 [VHDL] 4비트 곱셈기
손금락의 멘토 이야기
pcb artwork 자료. (출처 : 당근)
artwork - 회로이론(소자의 종류, 정확한 쓰임세)
- tool (orcad, pads)
http://mt.altoma.co.kr/products/voice.asp
AVR기초 자료
신승철 선생님께서 속세를 헤메이는 중생들을 구원하고자 극비리에 보내주셨다는 진귀한 자료.
모두 함께 공부해서 구원을 얻읍시다!!! 아멘!!
Reverser's Page
Reverser's Page
- woodmann.com
- +Fravia 의 홈페이지 중 일부인 포럼공간이다. 전세계적으로 가장 유명한 곳이다. 포스팅 또한 상당히 전문적인 지식이 담겨있다. 제가 생각하기에 리버싱에 관련된 그리고 시스템의 깊은 곳을 이해하는데 최고의 사이트가 아닌가 합니다.
- AntiCrack.de
- +Fravia 의 포럼에 필적하는 곳이다. 주옥과 같은 자료들이 존재하니 꼭 들어가보시길 권해드린다. 포럼, protools, codebreak magazine, protools, reverse academy, crackme, daemon's hompage, APJ(assembly programming journal) 로 구성되어 있다.
- oPEN rEVERSE fORUMS
- 이름 그대로 리버싱의 열린 공간이며 상당한 고수분들이 계신다.
- BiW Reversing
- 상당히 유명한 Detten과 같은 리버서들이 있는 곳이다. 양질의 문서를 제공한다.
- Reverse Engineering Team
- 그 유명한 CrackZ 와 같은 리버서들이 있는 곳이다.
- +Fravia 의 포럼에 필적하는 곳이다. 주옥과 같은 자료들이 존재하니 꼭 들어가보시길 권해드린다. 포럼, protools, codebreak magazine, protools, reverse academy, crackme, daemon's hompage, APJ(assembly programming journal) 로 구성되어 있다.
- UNPACKING GODS
- 이 홈피의 상위주소를 가보시면 Absolute Lock 의 홈피로 이어진다. vladmir, yates, NtSC, SAC 와 같은 시스템에 관한 고수들의 홈피이다.
- W A S M . R U
- 러시아 사이트로 디버깅에 필요한 자료에 대한 업데이트가 뛰어나며, 양질의 문서를 제공한다.
- www.exetools.com
- 너무도 유명한 자료실이다. 각종 툴 및 프로그램, 전자도서를 구할 수 있다. 크랙 튜토리얼도 있으나 크랙 자체에만 치중한 경향이 있어 그다지 좋은 자료라고는 생각하지 않는다.
- http--crackz.reteam.org-
- CrackZ 의 홈피이다. dongle와 FlexLM 과 같은 전문적인 프로텍터에 관한 독보적인 사이트이다.
- SVKP's page
- SVKP 상용 프로텍터의 홈페이지다. 해당 프로텍터를 광고하려는 건 절대 아니고, 좋은 글들이 있으니 anti-debug 에 관심 있으신 분들은 읽어보시기 바란다. 또한 개발자가 쓴 "cracking proof of your software" 라는 책도 굉장히 좋으니 구하셔서 읽어보시길.
- __ULTRASCHALL__HOME__OF__THE__STARS__
- DAEMON 의 홈페이지로 IA-32 Interrupt Mechanism을 이용한 여러 anti-debug 소스를 제공한다.
- ka0s.net
- PDA, celluar phone, Xbox 와 같은 모바일 콘솔에 대한 리버싱을 다루는 곳이다.
- RW5jcnlwdGlvbiAmIEtleUdlbg==
- 키젠으로 유명하신 x3chun 님의 홈피이며 직접 코딩한 여러 유명 암호 알고리즘에 관한 소스를 제공하고 있다.
- Vivaman's page
- 비바맨님의 홈페이지다. 홈피를 방문해보면 전지현을 향한 그분의 마음을 알 수 있다 ㅋㅋ
- Le4rN TO Cr4cK
- 크랙미를 미러링해주며 QnA 게시판을 제공하고 있다. 초기에 비해 수준이 낮아지고 있다. junk advice를 올리는 사람들 때문에 짜증나기도 하지만, 하루에 한번 꼭 들리는 곳이기도 한 곳이다.
- 이 홈피의 상위주소를 가보시면 Absolute Lock 의 홈피로 이어진다. vladmir, yates, NtSC, SAC 와 같은 시스템에 관한 고수들의 홈피이다.
k3nny's web v2.0 - welcome!
크랙도 세월에 따라 필요한 툴들이 달라지는 법인데, 케니는 무엇이 필요한 것인지 잘 아는 듯 하다. 정말 알짜 툴들만 모아놓았다.
- http--kickme.to-mxbnet
- 실전 크랙을 보여주는 곳이다. 크랙을 막 시작하셨다면 이곳을 추천해드린다. 하지만 이분은 대략 간단명료하게 글을 쓰는 스탈이라서 스스로 도전해보실 분만 가보시길.
- http--66.98.132.48-yates-
- 시스템에 대한 정보 및 IDT 와 관련된 정보의 디버깅 방법을 알려준다. 또한 Xbox와 같은 콘솔의 IDA용 sig를 공개하고 있다. 대단한 넘이다.
- Stone's WebNote
- 유명 리버서의 홈피.
- DataRescue
- 자타가 공인하는 최고의 디스어셈블러 IDA 의 홈페이지다.
- The IDA Palace
- 유용한 IDA 플러그인 및 IDC 스크립트가 있다.
- mammon_
- 윈도우즈와 리눅스 양쪽의 시스템을 두루 정통한 mammon의 홈페이지다.
- Quine's IDA Page
- 바이너리 분석의 대가인 Quine의 홈페이지다.
- 시스템에 대한 정보 및 IDT 와 관련된 정보의 디버깅 방법을 알려준다. 또한 Xbox와 같은 콘솔의 IDA용 sig를 공개하고 있다. 대단한 넘이다.
- MackT
- impReconstructor로 유명한 UCF 팀의 MackT의 홈페이지
- The home of RTA
- 그 유명한 squidge가 만든 RTA. 쓰는 사람 거의 못봤는데, 이거 굉장한 툴임엔 틀림없다.
- impReconstructor로 유명한 UCF 팀의 MackT의 홈페이지
회로도 가장일반적으로 공부하는것
2. 수동소자 RLC에 대한이해.
3. 다이오드에 대한이해
4. Transistor에 대한이해.
5. 특수반도체에 대한이해.
6. 집적회로 IC에대한이해.
전기의 기초(html) : http://my.dreamwiz.com/duduji/Electric/Elec_index.htm
DataSheet 전문 검색 : http://www.alldatasheet.co.kr
ZETIN 신입생 교육자료(전자/회로기초)
시립대 제틴, 트레이서 종목에서 월등히 높은 실력을 자랑한다.
아래는 신입생용으로 제작한 참고자료 인데, 어째 4번파일이 없다;;
제틴 자료실에서 비밀리에 가져 옮.
MCU의 기초지식
MCU기초 내부구조
1. MCU를 배우는 방법
2. Address영역과 Data Memory영역
3. Address의 계산
4. Address Decoder
5. Register의 개념
6. Interrupt의 개념
[VHDL] 4비트 곱셈기
Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mp_4bit is
port(clk, rst : in std_logic;
mp1, mp2 : in std_logic_vector(3 downto 0);
done : out std_logic;
product : buffer std_logic_vector(8 downto 0));
end mp_4bit;
architecture ex of mp_4bit is
type Ntype is (st0, st1, st2, st3, st4, st5, st6, st7, st8, st9);
signal ps, ns : Ntype;
signal finds1,finds2,finds3,finds4,finds5,finds6,finds7,finds8 : std_logic;
begin
p1: process(rst, clk)
begin
if(rst='1') then
ps<=st0;
ns<=st0;
else
if(clk='1' and clk'event) then
ps<=ns;
case ps is
when st0 =>
product<=product(8 downto 4)+mp1(3 downto 0);
if(mp2(0)='1') then
ns<=st1;
else
ns<=st2;
end if;
when st1 =>
if(finds1='0') then
product<=(product(8 downto 4) + mp1(3 downto 0))&product(3 downto 0);
finds1<='1';
end if;
ns<=st2;
when st2 =>
if(finds2='0') then
product<="0"&product(8 downto 1);
finds2<='1';
end if;
if(product(0)='1') then
ns<=st3;
else
ns<=st4;
end if;
when st3 =>
if(finds3='0') then
product<=(product(8 downto 4) + mp1(3 downto 0))&product(3 downto 0);
finds3<='1';
end if;
ns<=st4;
when st4 =>
if(finds4='0') then
product<="0"&product(8 downto 1);
finds4<='1';
end if;
if(product(0)='1') then
ns<=st5;
else
ns<=st6;
end if;
when st5 =>
if(finds5='0') then
product<=(product(8 downto 4) + mp1(3 downto 0))&product(3 downto 0);
finds5<='1';
end if;
ns<=st6;
when st6 =>
if(finds6='0') then
product<="0"&product(8 downto 1);
finds6<='1';
end if;
if(product(0)='1') then
ns<=st7;
else
ns<=st8;
end if;
when st7 =>
if(finds7='0') then
product<=(product(8 downto 4) + mp1(3 downto 0))&product(3 downto 0);
finds7<='1';
end if;
ns<=st8;
when st8 =>
if(finds8='0') then
product<="0"&product(8 downto 1);
finds8<='1';
end if;
ns<=st9;
--종합
when st9 =>
done<='1';
ns<=st0;
when others =>
product<="111111111";
end case;
end if;
end if;
end process;
end ex;